約 2,257,551 件
https://w.atwiki.jp/3dcustom/pages/31.html
TDCG_MOD_TOOLSがCUI環境なのに対し、こちらはTAHdecrypt.exeを参考につくられたGUI環境のツール。 DL 改造専用ろだ XPC00271 ver 1.6.4 要件:.NET Framework 3.5(一応.NET Framework 2.0でも動く) 主な機能 TAHの展開(複数ファイルの一括処理可) TAHへの梱包(TAHのバージョン指定・複数フォルダの一括処理可) TAHファイルの中身閲覧(ver1.6系からtsoを3D表示できるようになった。※若干のマシンパワーが必要) 選択したファイルの個別抜き出し(複数選択可能) tsoファイルなどが存在するフォルダでの一括ファイル名変更(tbnで指定しているファイル名も変更する。文字数は変更不可) ファイル名の連番書き換え(ver1.6.4から) 詳しくはreadme.txtに記してある。 特にファイル名一括変更が便利で、tbnファイル内でのtsoファイル名の指定も自動で書き換えてくれる優れものなので、ファイル名変更がとても簡単に行える。 ファイル出力先のフォルダはTAHdecGUIが存在するフォルダになっている。 出力先フォルダを指定するにはアドレスを変更する必要がある。 (※ver1.5.3よりコマンドライン引数で、ファイルを書き出すフォルダを指定できるようになった) 現在判明しているバグ tbnを下から複数選択して「ファイル名変更」するとエラーが出る。対処:上から複数選択する 「属性変更」で複数選択しても先頭のtbnしか書き換えない。対処:16進数の数値だけでなく「分類1」なども入力する 逆に「分類1」を入力すると、属性が強制的に先頭のtbnのものに書き換えられてしまう(複数属性のtbnの番号だけを書き換えるという事ができない)
https://w.atwiki.jp/may3dcustom/pages/282.html
3Dカスタム少女に関連する、MOD作成、ポーズ作成、スナップショット撮影、画像加工、MOD整理など、役に立つコツ、豆知識、小技を収集した。 なお収集元はmayスレに限定していない。 目次 アルテマの書 konozamaの書 ポーズセーブやヘビーセーブは、セーブ・削除のタイミングで再読み込みする PNGPoseで展開されたFigure※.txtのスライダー値は、0~1の範囲を逸脱していても有効に機能する 背景の壁に近づくほどテクスチャがゆがむのは仕様噂ではグラボが劣化したりノートだと現象が多発する カテゴリーの表示順はアルファベット順ではない 軸ごとに拡大倍率が違うカス子をポーズエディタでいじる時、キーボードで回転させるとうまくいかない ヘビーセーブのサムネイル画像の差し替え方 姉妹スライダーごとのデフォ子の頭の大きさ 頂点が重なり合っている場合のウェイト調節 画像加工・グローと手動スーパーサンプリング 両面化ではなく、片面のやつをコピペ、それを面の反転する 【メタセコtips】縁取りパイプの作り方 ポリ欠け・面裏返りが起こる原因 ポース付きmqoの作成方法 アルテマの書 初心者にわかりやすいmod改造をテーマに作成された 以下続巻予定 ふたば3Dカスタム少女保管庫 第一章 mod0398.zip 第二章 mod0402.zip 第七章(外伝)mod0505.zip konozamaの書 mod制作に欠かせないメタセコイアに関する実践的なTIPSが多く掲載されている ふたば3Dカスタム少女保管庫 mod0738.zip ポーズセーブやヘビーセーブは、セーブ・削除のタイミングで再読み込みする 無題 Name としあき 10/12/04(土)22 16 04 ID tPfd0uoM No.44009 del ヘビセやポーズセーブはポーズエディタ起動とポーズエディタから抜けると読む込み直すので フルスクリーンじゃないなら該当フォルダにファイル入れ替えてもすぐに使えたりする 無題 Name としあき 10/12/04(土)22 41 04 ID yfcFgh6M No.44013 del ヘビセやポーズセーブはポーズエディタ起動とポーズエディタから抜けると読む込み直すので ポーズセーブはセーブ・削除のタイミングでも読み込みますお だから抜けなくても読み直せる 無題 Name としあき 10/12/04(土)23 25 15 ID 7MlQoYvk No.44036 del ヘビセやポーズセーブはポーズエディタ起動とポーズエディタから抜けると読む込み直すので 結構長いことカス子やってるけど、今はじめて知った・・・ ありがとうございます 無題 Name としあき 10/12/04(土)23 49 33 ID tPfd0uoM No.44052 del ポーズセーブはセーブ・削除のタイミングでも読み込みますお マジか マジだ 入れ替え前提ならそっちのほうが楽ですな 無題 Name としあき 10/12/05(日)01 10 55 ID pV4U2scw No.44118 del ポーズセーブはセーブ・削除のタイミングでも読み込みますお まぎらわしかったので少し訂正 ヘビセもポーズセーブもそれぞれのモードに入る時とセーブ・削除時に再読込(更新)される これを利用すると、カス子を起動したままセーブデータの入れ替えができたり ヘビセならMODを読み込ませることもできる という豆知識 ――http //www.nijibox5.com/futabacustom/logid/src/idcus0198.mht 名前 コメント PNGPoseで展開されたFigure※.txtのスライダー値は、0~1の範囲を逸脱していても有効に機能する 無題 Name としあき 10/12/05(日)20 43 17 ID CuQpmkOY No.44306 del 役に立つかは分かりませんが小ネタを一つ PNGPoseでシーンセーブを展開すると Figure*.txt(*は数字)にスライダーの値が0~1の範囲で吐き出されますが この各値を0~1の範囲を逸脱した数字に書き換えて PNGPoseで再梱包しても有効に機能します (下限を突破したいときは負の値にする) 但し何故か乳スライダーだけは0~1の正常な範囲内に矯正されるようです ――http //www.nijibox5.com/futabacustom/logid/src/idcus0198.mht 名前 コメント 背景の壁に近づくほどテクスチャがゆがむのは仕様 無念 Name としあき 09/07/24(金)23 04 32 No.69841824 del 新pcにしても先生の髪型がおかしくなるの直らなかっただよ~ ヘッポコグラボのせいだと思ってたのに・・・ 買ってからそのまま撮ったんですか? 何箇所か弄ってみただすが・・・ 最近のは設定がありすぎて良くわからんだすよ もう少し勉強が必要だすねぇ 無念 Name としあき 09/07/24(金)23 05 33 No.69842022 del ランダムにしたらとんでもない物が! ギニャアアアア! 無念 Name としあき 09/07/24(金)23 05 35 No.69842025 del 新pcにしても先生の髪型がおかしくなるの直らなかっただよ~ ヘッポコグラボのせいだと思ってたのに・・・ テクスチャーが引っ張られるのは仕様 無念 Name としあき 09/07/24(金)23 06 42 No.69842253 del 背景の壁に近づくほどテクスチャがゆがむのは仕様 無念 Name としあき 09/07/24(金)23 08 42 No.69842648 del 背景の壁に近づくほどテクスチャがゆがむのは仕様 うちでも起こって気になってたんだ 仕様だったのか… 無念 Name としあき 09/07/24(金)23 09 03 No.69842723 del 背景の壁に近づくほどテクスチャがゆがむのは仕様 そんな現象があったのか… 大半真ん中でポーズとってたから全く気付かなかった ――http //www.nijibox5.com/futabacustom/logmay/src/mcus0572.mht 噂ではグラボが劣化したりノートだと現象が多発する 無題 Name としあき 10/11/04(木)23 43 29 ID rwuMZhd6 No.35348 del ポーズエディタをいじくっているとこういうとげが出てくるんですが、これって何でしょうか? 無題 Name としあき 10/11/04(木)23 50 34 ID TxPDLVms No.35359 del これって何でしょうか? 背景のすみっこにカス子持っていくと各部がひっぱられたように伸びる場合があるのじゃ~ すみっこじゃなくても個人の環境により特定の髪や服がびよーんと伸びちゃうらしいぞよ 噂ではグラボが劣化したりノートだと現象が多発するそうじゃ ――http //www.nijibox5.com/futabacustom/logid/src/idcus0195.mht 名前 コメント カテゴリーの表示順はアルファベット順ではない 半透明のアイテムAから順番で重なってると思ったんですがワンピースのアイテム透けるんでどうなんだろうと調べてみました。 じつはずーっと気になってはいたんですがめんどくさくて調べなかったです(^^; 結果こんな感じ A ボディ B 前髪 C 後髪 D 髪ベース E 瞳 F ラジャー G 水着 H パンツ I ソックス J 上着 W パンスト L エプロン M スカート N しっぽ O 靴 P ねこみみ等 Q メガネ R 首 S 手袋 T 羽 U あほ毛 V 眼帯 K ワンピース ←なぜかkのアイテムがここ X ブレスレット Y リボン Z 小物 0 眉 1 牙 2 ほくろ 3 イヤリング もっとばらつくのか思ったらほぼ順番どおりで調べた意味ないです(^^; でもやっぱりワンピースはかなり下でした。 たぶんパンストと間違ったんでしょうか。。。?? 半透明のキャミソールのために下位に落としたのかもですね(11/20) Yのリボン抜けてましたー m(_ _)m 半透明ものは下のほうのアイテムに持ってくると変に透けないようですね。 ――BLUE RING STUDIO ブログ 半透明のアイテム 名前 コメント 軸ごとに拡大倍率が違うカス子をポーズエディタでいじる時、キーボードで回転させるとうまくいかない 無題 Name としあき 10/11/07(日)23 17 15 ID 5hz0ZG1Y No.36419 del こんな大きなの入るわけないじゃない! …洋炉だと絶対無理じゃね?みたいなちっさな子に出し入れしている動画もあるわけですが。 前スレでpngproportionの使い方を教えていただいたので、体型変更したキャラのポージングが楽になりました もっと可愛い仕草をさせられたらなあ。 ただ、表情の変化が大変・・・あと、何故か曲げた腕が薄く平べったくなる症状にも悩まされ中・・・ 無題 Name としあき 10/11/07(日)23 27 51 ID DoXbXRqk No.36435 del あと、何故か曲げた腕が薄く平べったくなる症状にも悩まされ中・・・ 1)腕は横に長いので、拡大の方向はX軸方向になる。Y軸方向に拡大縮小すると、腕の厚みが変わる。 2)軸ごとに拡大倍率が違うカス子をポーズエディタでいじる時、キーボードで回転させると、うまくいかない。マウスで回転させる必要がある。 無題 Name としあき 10/11/07(日)23 36 41 ID DoXbXRqk No.36450 del 2)軸ごとに拡大倍率が違うカス子をポーズエディタでいじる時、キーボードで回転させると、うまくいかない。マウスで回転させる必要がある。 と、聞きかじって実験したけど、W_Hipsの場合はキーボード回転でもうまくいくな…… 画像は急遽でっち上げたもの。 ――http //www.nijibox5.com/futabacustom/logid/src/idcus0196.mht 名前 コメント ヘビーセーブのサムネイル画像の差し替え方 無題 Name としあき 10/09/17(金)00 37 03 ID hQado2fY No.20302 del なんか失敗してたっぽいので今修正中なんですけど何故かうまくいかないな・・・ ほーい解説! あわてて作ったからゴチャゴチャして分かり難くなってしまった…… 文字はどーでも良いんで画像処理で浮き上がった部分をご覧下さい ここがポーズ用のサムネには無い面倒な部分です 普通の画像と差し替えると機能しなくなる所以でござります 任意の画像にこの情報を転記するツールあるけどアップしましょーか? ――http //www.nijibox5.com/futabacustom/logid/src/idcus0186.mht 名前 コメント 姉妹スライダーごとのデフォ子の頭の大きさ 無題 Name としあき 10/11/02(火)06 20 25 ID I2yHMZBM No.34622 del かえってくるなり一体何をやっているのか…… ハロウィンMOD、色々頂いております。 #ref error :ご指定のファイルが見つかりません。ファイル名を確認して、再度指定してください。 (姉妹スライダーごとの[[デフォ子]]の頭の大きさ-1.jpg) 藤堂さんとタヌ子さんを絡ませると、タヌ子さんの頭が大きく見える……という指摘を受け、姉妹スライダーごとのデフォ子の頭の大きさを調べてみました。 無題 Name としあき 10/11/02(火)06 24 13 ID I2yHMZBM No.34623 del 上記画像は50%縮小したものです。(wiki編集者註:「上記」は最初の画像を指す) オリジナル画像の頭の横の長さと縦の長さは次の通りになりました(単位:ピクセル) スライダー値:X * Y = 面積 0.0:x426 * y496 = 211296 0.25:x403 * y490 = 197470 0.5:x376 * y480 = 180480 0.75:x350 * y468 = 163800 1.0:x323 * y451 = 145673 無題 Name としあき 10/11/02(火)06 30 51 ID I2yHMZBM No.34624 del それらの数値から「体型変更で頭の大きさを一定にする」場合の拡大倍率を算出すると、表のようになります。 基準点が0.0,0.25,0.5,0.75,1.0の五カ所しか調べていません。 あくまで基準を姉妹スライダー値0.5においた場合の数値です。 無題 Name としあき 10/11/02(火)06 33 44 ID I2yHMZBM No.34626 del これらの数式に従って、体験変更を施してみると、画像のようになります。左から、 藤堂さん(姉妹スライダー0.161017)、 体型変更した藤堂さん(W_Hipsを、SCALE 0.944692216959088)、 タヌ子さん(姉妹スライダー0)、 体型変更したタヌ子さん(W_Hipsを、SCALE 0.924206254724647)、 比較用のデフォ子さん デフォルト状態だと、姉妹スライダー値が異なるのに身長があまり変わらないのと比べると、わりともっともらしい身長差になったんじゃないかと思います。 ――http //www.nijibox5.com/futabacustom/logid/src/idcus0195.mht 名前 コメント 頂点が重なり合っている場合のウェイト調節 無題 Name としあき 10/10/10(日)22 13 58 ID dZn5aPYA No.27613 del 技術的な話題(ていうか質問)なのでこちらで書き込みを・・・ 正規兵用と帝国軍用を作っている分には見てみぬ振りが出来るレベル(汗)であった指の付け根部分の破綻が訓練兵用カラーとなるととても看過できないレベルで目立ちまくりでして・・・orz それを何とかしようとTSOWeight使って加減算してみても全く効果が無く、試しにと以前落としておいたTOYStudioを使ってみてもいまいち効果が・・・という感じなのです。 この状況の対処法をご存知の方、よろしければご教示くださいます様、よろしくお願いしますm(__)m サンプル tim1787.rar PASS Type99 無題 Name としあき 10/10/10(日)22 36 10 ID DtRg7h4A No.27629 del ↑ぐお、図つけるのわすれてた・・・ 図2をみると、もうメタセコイアの時点でオブジェクトが重なり合っているような感じだなあ…… 素人考えだけど、人差し指、中指……とそれぞれで作成して、tso2mqoでtsoを合成する……というのは? 無題 Name としあき 10/10/10(日)23 14 49 ID CNHC46fo No.27659 del ぐお、図つけるのわすれてた・・・ チャイバニ作成時、グローブでも同じことに悩まされました~。ウェイト調整でやりましたが、指みたいに複雑に動くところはうまく調整しきれなかったですね…。 27629さんもおっしゃてますが、 各指だけのオブジェクトからtsoを作成して 最後にmqo2tsoでマージして1つの参照tsoを作る というで修正しようかと思ってます~ 無題 Name としあき 10/10/10(日)23 41 48 ID dZn5aPYA No.27707 del 頭冷やす為に別のものの作成を進めてみる… …さらに脳味噌ヒートアップ!!!1!!!1 質問にお答えくださりありがとうございましたm(__)m …手の部分一から作り直しかぁ・・・orz 追伸:神機&グラビア雑誌頂きましたです。あとでゆっくり観賞しようと思います・・・ 無題 Name としあき 10/10/10(日)23 58 35 ID h2NoyaoA No.27732 del …手の部分一から作り直しかぁ・・・orz ちょっと待つのだ 上にも書いてあるとおり各指の部分のメタセコを分割して それぞれのtsoを作ってマージすればいいと思う ちょっとやってみる ――http //www.nijibox5.com/futabacustom/logid/src/idcus0192.mhtこの例では、必ずしも完全に解決したわけではないらしい。 名前 コメント 画像加工・グローと手動スーパーサンプリング 無題 Name としあき 10/10/02(土)14 23 55 ID 67rAHiPg No.25198 del 最近噂のグローってどうやるんだろう フォトショ見ても何処にあるか分からない そして早く起きたから眠い HO-KANKO3 レールガンの人のようだ 無題 Name としあき 10/10/02(土)15 25 55 ID 9SFQPmws No.25210 del もこさんが可愛いので某イラストSNSで見かけた方法を上げておくと SSを開く>CtrlA、C、Vでコピーして重ねる>上に乗せたレイヤーの方法をスクリーンにする スクリーンにしたレイヤーにフィルタのぼかし(ガウス)をかける でいろいろ微調整するとぼわっと光るらしいよ 無題 Name としあき 10/10/02(土)16 14 39 ID 49V2Cw1A No.25229 del 画像加工の話が出てるので役に立つか分かりませんが 自分がSSを綺麗にするためにやってることを ハードウェア環境にもよるものと思いますが カス子は画面解像度を超える大きさであっても 4 3ないし画面と同じ縦横比であれば ウィンドウモードで起動できるという点を活かし 2048*1536や2560*1920といった大サイズでSSを撮ります それを縮小することでエイリアスを低減するわけです 要するに手動スーパーサンプリングですね (R13というソフトでLanczos4アルゴリズムを使って縮小してます) 更にGIMPで元画像のレイヤーを複製し 軽くガウスフィルタを掛けて適当に不透明度を下げて 元画像に重ねることで更にエイリアスを低減します これをやるとどうしてもクッキリ感は損なわれるのでSSの雰囲気に合わせて程度を調整してます 無題 Name としあき 10/10/02(土)16 37 04 ID 49V2Cw1A No.25234 del (続き) グラフィックボードで8xとか16xのAAが掛けられる環境の人には 手動スーパーサンプリングは無用でしょうし 逆にアンチエイリアスが全く効かない環境だと この手法でどこまでエイリアスを低減し得るか分からない上に そもそも面倒なので誰得って感じではありますが とりあえずサンプルを貼っておきます 左は1024*768で撮ったもの 真ん中は2048*1536で撮って1/2に縮小 右は更にGIMPで前述の処理をしたものです ――http //www.nijibox5.com/futabacustom/logid/src/idcus0188.mht 名前 コメント 両面化ではなく、片面のやつをコピペ、それを面の反転する 無念 Name としあき 10/12/20(月)02 11 17 E 0/3 11 17 ID EbWTSgJg No.27082259 [23 11890] たておつ メタセコやってて両面化しても両面とも同じ色に ならないのはなぜだ・・・ 無念 Name としあき 10/12/20(月)02 13 57 R 0/1 13 57 ID RJZFO756 No.27082505 [25 12136] メタセコやってて両面化しても両面とも同じ色に メタセコで両面化コマンドは使ってはダメよとしくん 無念 Name としあき 10/12/20(月)02 15 41 Z 0/1 15 41 ID ZWj.WUj. No.27082649 [27 12280] メタセコやってて両面化しても両面とも同じ色に 片面のやつをコピペ、それを面の反転するのだ 無念 Name としあき 10/12/20(月)02 17 16 E 0/3 11 17 ID EbWTSgJg No.27082789 [29 12420] メタセコで両面化コマンドは使ってはダメよとしくん …………まじか・・もう寝る 助言さんくす おやすみみなさん・・ 無念 Name としあき 10/12/20(月)02 17 50 G 0/1 17 50 ID GLSeQW92 No.27082840 [30 12471] メタセコやってて両面化しても両面とも同じ色に メタセコ上で両面可してもカス子上だと無効になるのよ 同じオブジェクト複製して、表面、裏面にすると良いのよ 無念 Name としあき 10/12/20(月)02 22 07 E 0/3 11 17 ID EbWTSgJg No.27083231 [31 12862] 片面のやつをコピペ、それを面の反転するのだ 同じオブジェクト複製して、表面、裏面にすると良いのよ むむ・・寝る前になんていい情報を・・早速試してみよう ありがとうとしー ――http //www.nijibox5.com/futabacustom/logmay/src/mcus2841.mht正確には「メタセコ上で両面可してもカス子上だと無効になる」わけではなく、両面化を行うと3Dカスタム少女上で面の裏返りが発生してしまうということだった記憶がある[#要出典]。 名前 コメント 【メタセコtips】縁取りパイプの作り方 ――tim1402 名前 コメント ポリ欠け・面裏返りが起こる原因 http //www.nijibox5.com/futabacustom/logid/src/idcus0221.mht 名前 コメント ポース付きmqoの作成方法 http //www.nijibox5.com/futabacustom/logjun/src/jcus0147.mht 名前 コメント
https://w.atwiki.jp/ssb4/pages/114.html
カスタムとは カスタムとは、必殺ワザの性能を変更させるシステムであり、カスタム無しの必殺ワザも含め、各ファイターにつき12種。 普通のオンライン対戦では使用できないが、フレンド・またはローカル(家)での対戦では使用可能。 現在公開されているカスタムは以下の通りだ。 マリオ ファイアボール ゆっくりと動く大きな弾を出す。弾は一度当たっても消えない。 前方に素早く飛んでいく弾を出す。相手はひるまない。 スーパージャンプパンチ 威力と上昇力の向上。 ドンキーコング ジャイアントパンチ 【ジャイアントストーム】 前方に巨大な竜巻を巻き起こす。 ドンキーヘッドバット 非常にゆっくりとした動きになるが、スーパーアーマー状態で繰り出せる。 カービィ すいこみ 氷の息を吐き、相手を凍らせる。 マルス シールドブレイカー 前方へ大きく移動するようになる。 ピット パルテナアロー 空中で消えるまで回転し続ける。 Miiファイター パルテナ
https://w.atwiki.jp/may3dcustom/pages/304.html
旦那 クロ子【住人】 タグ SF嫁 ツインテール ピンク髪 メガネ クロ子の妹三人集、名前の元ネタはカス子のmodを作成したことのある人なら おなじみのあの拡張子から。 しかしこうして並んでる所をみるとまるでプ○キュアみたいですねいやホントに・・ psd子(ピンク)は何故かクロ子氏本人から.bmp子だと良く名前を間違われる。 主な活躍の場はブログでのウェブ漫画。 tsoの部下にウェイト子というのも誕生したそうだ。 名前 コメント 主な嫁(や、ら、わ行、他)
https://w.atwiki.jp/chainchronicle/pages/81.html
カスタムはキャラクターを作成して戦わせるモードです。 シンプル(カスタム) デュエル(カスタム) タッグ(カスタム) コロシアム(カスタム) シンプル(カスタム) シンプルは、特別なルールは設けません。 renkei2もしくはrenkei3のキャラクター作成法に従ってキャラクターを作り、勝負します。 注意点 アビリティ「準備」を修得している場合は、山札からカードを引いて解決します。 デュエル(カスタム) デュエルは、より駆け引きを重視した一対一の戦闘です。 上級ルールを全て導入した上での戦闘を行います。 詳しくは上級ルールを参照して下さい。 renkei2における上級ルール 上級魔法 エンハンス 熟練戦闘 鍛冶 renkei3における上級ルール なし タッグ(カスタム) タッグは多人数でプレイするモードです。 プレイヤーが偶数人の場合は二つのパーティに分けて下さい。 プレイヤーが奇数人の場合はハンデをつけます。多人数側のパーティは最大スタミナ値を5に減少させて下さい。 なお、この最大スタミナ値修正は通常のルールとは異なり、時間による回復は出来ません。 コロシアム(カスタム) コロシアムモードは、キャラクターを3体作成して、3対3で戦います。 以下の点で、戦闘ルールがrenkeiとは異なります。 3キャラクターを前列後列任意の列に配置する。 スタミナは3キャラクター共有 スタミナの合計は9 誰か1キャラクターがチャージを宣言した場合、スタミナが全回復する。 MPも3キャラクター共有 死亡したキャラクターはゴーストにしても良いし、しなくても良い。 ゴースト化を選んだ場合、毎ターン、チェインの踏み台にするためのスタミナが必要になる。
https://w.atwiki.jp/3dcustom/pages/105.html
CgfxShader 上限などは000_TAToonshade_050.cgfxに書かれている。 string technique 項目を変化させることで シェーディング時の効果?を変えることが可能 string technique項目リスト ShadowOff 影を描かない? ShadowOn 影を描く? ShadowOff_InkOff 影と輪郭線を描かない? ShadowOn_InkOff 影を描き、輪郭線を描かない? Transparent 透明。男と同じ描写方法 NAT_ShadowOff_InkOff NATURALとかの略? 不明 NAT_ShadowOff NATURALとかの略? 不明 NAT_ShadowOn NATURALとかの略? 不明 ※NAT系はテクスチャの透過部分が人物や他のオブジェクトに重なると、それを消してしまう場合があるので注意 DEMO DEMO_DT カス子起動時のデスクトップ画面を表示。起動・終了時の演出に使われているtechnique DEMO_ADD HOHO ZAMEN0 男のザーメンに使われてるtechnique ZAMEN1 男のザーメンに使われてるtechnique ZAMEN2 男のザーメンに使われてるtechnique NZ_ShadowOff NZ_ShadowOn NZ_ShadowOff_InkOff NZ_ShadowOn_InkOff NZAT_ShadowOff_InkOff ZMAT ZMAT2 NCZAT_ShadowOff_InkOff XP以降のtechnique KAZAN AURORA 雪原背景・夜(XP)のオーロラに使われてるtechnique MOJI 座敷背景(XP)の掛け軸に使われているtechnique POSEEDIT_UI 画像クリックでフルサイズ表示 float4 ShadowColor 影の色? texture ShadeTex 影テクスチャのフォルダ指定。"Tex000(任意の数字)_"以降の文字列のみ参照。 float HighLight Max100.0 Min-100.0 Step0.001 default29.5 テカリ。数字を減らすとテカリが減る。 HighLightPowerが1000だとHighLightは小数点以下を弄るだけで、かなり違う 画像クリックでフルサイズ表示 float4 PenColor 0.2, 0.2, 0.2, 1 輪郭線などの色。R,G,B,輪郭を表示させるか否か RGBの値は255で割った数値。最後は1で輪郭線を表示、0で非表示 非表示の例(輪郭線を非表示にすれば設定によって体を透明化できる) 画像クリックでフルサイズ表示 texture ColorTex テクスチャのフォルダ指定。"Tex000(任意の数字)_"以降の文字列のみ参照。 float Thickness Max1.0 Min0.0 Step0.001 輪郭線の太さ。 float HighLightPower Max1000 Min0 Step1 default1000 ハイライトを適用する大きさを決めるところ 数値が小さい方が明るさが増す ※float HighLightPowerの値を「0」にすると 一部のグラボ(GeForce系)で影部分が真っ黒になる不具合が発生するため 「0」は使わない方がよい ColorBlend/HighLighBlend/ShadeBlend テクスチャ(ColorTex)/ハイライト/影テクスチャ(ShadeTex)それぞれの影響度の強さ。 各ピクセルの色は、 (テクスチャ * ColorBlend * 0.1) * (影テクスチャ * ShadeBlend * 0.1) * HighLightBlend * 0.1 で計算されてます。 10が基本値だと思ってOK。数字が大きいと影響度が大きく(平たく言うと明るく)、小さいと影響度が小さくなります。 ColorBlendかShadeBlendを小さくした場合、もう片方を大きくしないと全体として暗くなる。 0が一つでもあると真っ黒? float Ambient シェーディングテクスチャのモデルに対する描画範囲を0〜100の間で指定。 ※数値による描画範囲の変化は以下の画像を参照 画像クリックでフルサイズ表示 描画範囲は小さい数値ほど光源方向へ移動し、大きい数値ほど光源とは逆の方向に移動する模様。 また、画像右上のシェーディングテクスチャの右端、左端の色(幅1px)がモデルの光源方向に対する先端、終端へと強制的に引き延ばされる。 右端、左端の幅1px分を除くテクスチャの描画範囲(長さ)は、モデルの光源方向に対する先端、終端の距離の約80%に制限されている模様。 従ってシェーディングテクスチャ全体をモデルの先端から終端へと均等に描画させる事は不可能となっており、また描画範囲(長さ)は一定に保たれる為、値を小さい、または大きい数字に設定した際にモデルの先端、終端からはみ出した部分は描画されない仕様となっている。
https://w.atwiki.jp/dmori/pages/34.html
BMPファイルの出力概要 動作確認 テストコード ソースコード BMPファイルの出力 概要 BMPファイルを出力するクラスです。 SystemVerilogで記述をしています。 動作確認 ツール バージョン 結果 ncverilog 06.11-s004 OK VCS-MX A-2008.09 OK テストコード 256x256のビットマップファイルを3つ出力します。 program make_bitmap; `include "bitmap_data.sv" initial begin bitmap_data bmp1,bmp2,bmp3; bmp1=new(256,256); bmp2=new(256,256); bmp3=new(256,256); for(int y=0;y 256;y++)begin for(int x=0;x 256;x++)begin byte r; byte g; byte b; r = x; g = y; b = ((128-x)*(128-x)+(128-y)*(128-y)) 6; bmp1.set_dot(x,y,r,0,0); bmp2.set_dot(x,y,0,g,0); bmp3.set_dot(x,y,0,0,b); end end bmp1.file_write("r.bmp"); bmp2.file_write("g.bmp"); bmp3.file_write("b.bmp"); endendprogram ソースコード `ifndef __BITMAP_DATA__`define __BITMAP_DATA__ class bitmap_data; //=============================================================== // typedef //--------------------------------------------------------------- // little endian - after byte data is MSB typedef struct packed { shortint unsigned bfType ; // int unsigned bfSize ; // shortint unsigned bfReserved1; // shortint unsigned bfReserved2; // int unsigned bfOffBits ; // } BITMAP_FILE_HEADER; // 14 byte typedef struct packed { int unsigned biSize ; // info header size(byte) int biWidth ; // picture width(pixel) int biHeight ; // picture height(pixel) shortint unsigned biPlanes ; // plane num shortint unsigned biBitCount ; // data size(bit) par 1 pixel {1/4/8/24/32} int unsigned biCompression ; // compression type 0 none 1 RLE8 2 RLE4 3 bitFields int unsigned biSizeImage ; // pixel data size(byte) int biXPixPerMeter; // H dpi int biYPixPerMeter; // V dpi int unsigned biClrUsed ; // palette depth int unsigned biClrImporant ; // important palette index } BITMAP_INFO_HEADER; // 40 byte // picture data is Left_Bottom - Right_Top typedef struct packed { byte unsigned rgbBlue; byte unsigned rgbGreen; byte unsigned rgbRed; byte unsigned rgbReserved; } RGB_QUAD; //=============================================================== // member //--------------------------------------------------------------- BITMAP_FILE_HEADER file_header; BITMAP_INFO_HEADER info_header;//RGB_QUAD pic[]; byte unsigned rgbBlue[]; byte unsigned rgbGreen[]; byte unsigned rgbRed[]; byte unsigned rgbReserved[]; int size_x; int size_y; //=============================================================== // function new() //--------------------------------------------------------------- function new(int x,int y); if(x 0) x=16; if(x 0) y=16; this.size_x = x; this.size_y = y; rgbBlue = new[x*y]; rgbGreen = new[x*y]; rgbRed = new[x*y]; rgbReserved = new[x*y]; for(int i=0;i x*y;i++)begin rgbReserved[i] = 0; end endfunction //=============================================================== //--------------------------------------------------------------- task set_dot( int x, int y, bit[7 0] r, bit[7 0] g, bit[7 0] b ); if(x 0 || y 0 || x size_x || y size_y)begin return; end else begin rgbBlue [y*size_x+x] = b; rgbGreen[y*size_x+x] = g; rgbRed [y*size_x+x] = r; end endtask //=============================================================== //--------------------------------------------------------------- task file_write( string filename ); integer fp; fp=$fopen(filename,"wb"); if(!fp)begin $display("File Open Error."); $finish; end file_header.bfType = "BM"; // file_header.bfSize = 14+40+(size_x*size_y*3); // file size (byte) file_header.bfReserved1 = 0; // file_header.bfReserved2 = 0; // file_header.bfOffBits = 14+40; // info_header.biSize = 40; // info header size(byte) info_header.biWidth = size_x; // picture width(pixel) info_header.biHeight = size_y; // picture height(pixel) info_header.biPlanes = 1; // plane num info_header.biBitCount = 32; // data size(bit) par 1 pixel {1/4/8/24/32} info_header.biCompression = 0; // compression type 0 none 1 RLE8 2 RLE4 3 bitFields info_header.biSizeImage = 3780; // pixel data size(byte) info_header.biXPixPerMeter= 3780; // H dpi info_header.biYPixPerMeter= 3780; // V dpi info_header.biClrUsed = 0; // palette depth info_header.biClrImporant = 0; // important palette index $fwrite(fp,"%c",file_header.bfType[15 8] ); $fwrite(fp,"%c",file_header.bfType[ 7 0] ); $fwrite(fp,"%c",file_header.bfSize[ 7 0] ); $fwrite(fp,"%c",file_header.bfSize[15 8] ); $fwrite(fp,"%c",file_header.bfSize[23 16] ); $fwrite(fp,"%c",file_header.bfSize[31 24] ); $fwrite(fp,"%c",file_header.bfReserved1[7 0] ); $fwrite(fp,"%c",file_header.bfReserved1[15 8] ); $fwrite(fp,"%c",file_header.bfReserved2[7 0] ); $fwrite(fp,"%c",file_header.bfReserved2[15 8] ); $fwrite(fp,"%c",file_header.bfOffBits[ 7 0] ); $fwrite(fp,"%c",file_header.bfOffBits[15 8] ); $fwrite(fp,"%c",file_header.bfOffBits[23 16] ); $fwrite(fp,"%c",file_header.bfOffBits[31 24] ); $fwrite(fp,"%c",info_header.biSize[ 7 0] ); $fwrite(fp,"%c",info_header.biSize[15 8] ); $fwrite(fp,"%c",info_header.biSize[23 16] ); $fwrite(fp,"%c",info_header.biSize[31 24] ); $fwrite(fp,"%c",info_header.biWidth[ 7 0] ); $fwrite(fp,"%c",info_header.biWidth[15 8] ); $fwrite(fp,"%c",info_header.biWidth[23 16] ); $fwrite(fp,"%c",info_header.biWidth[31 24] ); $fwrite(fp,"%c",info_header.biHeight[ 7 0] ); $fwrite(fp,"%c",info_header.biHeight[15 8] ); $fwrite(fp,"%c",info_header.biHeight[23 16] ); $fwrite(fp,"%c",info_header.biHeight[31 24] ); $fwrite(fp,"%c",info_header.biPlanes[ 7 0] ); $fwrite(fp,"%c",info_header.biPlanes[15 8] ); $fwrite(fp,"%c",info_header.biBitCount[ 7 0] ); $fwrite(fp,"%c",info_header.biBitCount[15 8] ); $fwrite(fp,"%c",info_header.biCompression[ 7 0] ); $fwrite(fp,"%c",info_header.biCompression[15 8] ); $fwrite(fp,"%c",info_header.biCompression[23 16] ); $fwrite(fp,"%c",info_header.biCompression[31 24] ); $fwrite(fp,"%c",info_header.biSizeImage[ 7 0] ); $fwrite(fp,"%c",info_header.biSizeImage[15 8] ); $fwrite(fp,"%c",info_header.biSizeImage[23 16] ); $fwrite(fp,"%c",info_header.biSizeImage[31 24] ); $fwrite(fp,"%c",info_header.biXPixPerMeter[ 7 0]); $fwrite(fp,"%c",info_header.biXPixPerMeter[15 8]); $fwrite(fp,"%c",info_header.biXPixPerMeter[23 16]); $fwrite(fp,"%c",info_header.biXPixPerMeter[31 24]); $fwrite(fp,"%c",info_header.biYPixPerMeter[ 7 0]); $fwrite(fp,"%c",info_header.biYPixPerMeter[15 8]); $fwrite(fp,"%c",info_header.biYPixPerMeter[23 16]); $fwrite(fp,"%c",info_header.biYPixPerMeter[31 24]); $fwrite(fp,"%c",info_header.biClrUsed[ 7 0] ); $fwrite(fp,"%c",info_header.biClrUsed[15 8] ); $fwrite(fp,"%c",info_header.biClrUsed[23 16] ); $fwrite(fp,"%c",info_header.biClrUsed[31 24] ); $fwrite(fp,"%c",info_header.biClrImporant[ 7 0] ); $fwrite(fp,"%c",info_header.biClrImporant[15 8] ); $fwrite(fp,"%c",info_header.biClrImporant[23 16] ); $fwrite(fp,"%c",info_header.biClrImporant[31 24] ); for(int y=size_y;y 0;y--)begin for(int x=0;x size_x;x++)begin $fwrite(fp,"%c",rgbBlue [(y-1)*size_x+x]); $fwrite(fp,"%c",rgbGreen [(y-1)*size_x+x]); $fwrite(fp,"%c",rgbRed [(y-1)*size_x+x]); $fwrite(fp,"%c",rgbReserved[(y-1)*size_x+x]); end end endtaskendclass bitmap_data `endif // __BITMAP_DATA__ 名前
https://w.atwiki.jp/may3dcustom/pages/78.html
SS制作者としては、うん子さん、うん子ちゃんのひと、あるいはうん子ちゃんあき、うん子あきなどと呼ばれることが多いようだ。 呼び名に関しては、 好きなように呼んでくださってOKですよ うん子も他の人のレスをいただいて投稿誌面用に使ったものなので 正式名があえて無いので■とでも とのことである。 なお、 調教あきさんでは不足ですし…ドビッチあきさんとかだと…フランス人? 投稿あき…匿名希望あきさん(○○在住)とか… うーん悩む…いいのが決まるまではうん子さんで続けた方がいいかな と言う意見もあり、他の呼び名が提唱された場合ページ名が変更される可能性は非常に高い。というか、このまま定着してしまう可能性が…… 変態的にエロいストーリーの連作SSが印象に強い。そのエロさはスレ内屈指。 レイパーとは別の意味で他の人の嫁に対する扱いが容赦がなく、他の人の嫁がストーリーの主要登場人物としてがっつりと活躍することがしばしばある。例としては、うん子ちゃんのクラスメートで友人として登場したアヨが、うん子ちゃんの調教に加わったり、とか、2010年8月現在進行中の連作に登場した男性キャラクター(……つーか、あれが人様の嫁とか、予想だにできなかった……)などがあげられる。 もちろん長編だけではなく、短編(tim1660)でもがっつり活躍する。 なお一行でも良いから設定とか相関図とかあると酷い事をするときにテンション20%アップ(当社比)らしいぞ。 連作当初は文章は苦手なんだ…ついギャグに逃げてしまうとか言っていたらしい。現在では苦手は克服されている様子。 3Dカスタム少女関係のブログが話題になったときの、 以前ここでもお見かけしたような 嫁をいただいてひどいことをしたから分かる という発言には、さすがと言わざるを得ない。酷いことと言うのは、2010-03-18 mayスレ 2のことだと思われる。いや、2010-03-23 mayスレ 3の方かもしれない。 他には単発的なエロやうん子ちゃんとご主人様の(一緒にお月見などの)ほのぼのしたSSや、往年のアニメのオープニング/エンディングを摸したSSなどを貼ることが多い。 「愛着がわくほどひどいことをしたくなるから困る」というサド 設定はあえてゆるくすることにしているらしく、ほとんどの登場人物には名前さえ存在しない。設定好きの赤とは対照的な作者である。 設定には凝らない半面、小道具は凝っていることが多い。アクセサリーのQRコードがちゃんと読み込めた、装着者のプロフィールが記されていたりすることもある。 雑誌の誌面風の画像や、広告風の画像を作るのが好きで、作中のSSを反映した投稿雑誌風の画像をつい作ってしまったりする。 属性 何でもありの、嫁NG無しである。 属性晒しの流れがあったとき、うん子さんのSSを見ていたらショタもいける事を思い出したとか、自分も「男」とか「薔薇」真っ白にしちゃったけど、よく考えれば■子ちゃんの人の女装子連作、普通に楽しんでいたなあという発言が見られた。 名前 コメント ■ ▲ お約束画像集 ご主人様 コメント過去ログ ピンクツインテールの人(仮) 主なスレ住人 青い髪の人 青緑髪娘(仮)
https://w.atwiki.jp/3dcustom/pages/109.html
TODO 一部表現がTSOdecrypt固有(Tex000など) CgfxShader 上限などはtoonshader.cgfxに書かれている。 string technique 項目を変化させることで シェーディング時の効果?を変えることが可能 string technique項目リスト •ShadowOff 影を描かない? •ShadowOn 影を描く? •ShadowOff_InkOff 影と輪郭線を描かない? •ShadowOn_InkOff 影を描き、輪郭線を描かない? •Transparent 透明。男と同じ描写方法 •NAT_ShadowOff_InkOff NATURALとかの略? 不明 •NAT_ShadowOff NATURALとかの略? 不明 •NAT_ShadowOn NATURALとかの略? 不明 ※NAT系はテクスチャの透過部分が人物や他のオブジェクトに重なると、それを消してしまう場合があるので注意 •DEMO •DEMO_DT カス子起動時のデスクトップ画面を表示。起動・終了時の演出に使われているtechnique •DEMO_ADD •HOHO •ZAMEN0 男のザーメンに使われてるtechnique •ZAMEN1 男のザーメンに使われてるtechnique •ZAMEN2 男のザーメンに使われてるtechnique •NZ_ShadowOff •NZ_ShadowOn •NZ_ShadowOff_InkOff •NZ_ShadowOn_InkOff •NZAT_ShadowOff_InkOff •ZMAT •ZMAT2 •NCZAT_ShadowOff_InkOff XP以降のtechnique •KAZAN •AURORA 雪原背景・夜(XP)のオーロラに使われてるtechnique •MOJI 座敷背景(XP)の掛け軸に使われているtechnique •POSEEDIT_UI 画像クリックでフルサイズ表示 float4 ShadowColor 影の色? texture ShadeTex 影テクスチャのフォルダ指定。"Tex000(任意の数字)_"以降の文字列のみ参照。 float HighLight Max100.0 Min-100.0 Step0.001 default29.5 テカリ。数字を減らすとテカリが減る。 HighLightPowerが1000だとHighLightは小数点以下を弄るだけで、かなり違う 画像クリックでフルサイズ表示 float4 PenColor 0.2, 0.2, 0.2, 1 輪郭線などの色。R,G,B,輪郭を表示させるか否か RGBの値は255で割った数値。最後は1で輪郭線を表示、0で非表示 非表示の例(輪郭線を非表示にすれば設定によって体を透明化できる) 画像クリックでフルサイズ表示 texture ColorTex テクスチャのフォルダ指定。"Tex000(任意の数字)_"以降の文字列のみ参照。 float Thickness Max1.0 Min0.0 Step0.001 輪郭線の太さ。 float HighLightPower Max1000 Min0 Step1 default1000 ハイライトを適用する大きさを決めるところ 数値が小さい方が明るさが増す ※float HighLightPowerの値を「0」にすると 一部のグラボ(GeForce系)で影部分が真っ黒になる不具合が発生するため 「0」は使わない方がよい ColorBlend/HighLightBlend/ShadeBlend テクスチャ(ColorTex)/ハイライト/影テクスチャ(ShadeTex)それぞれの影響度の強さ。 各ピクセルの色は、 (テクスチャ * ColorBlend * 0.1) * (影テクスチャ * ShadeBlend * 0.1) * HighLightBlend * 0.1 で計算されてます。 10が基本値だと思ってOK。数字が大きいと影響度が大きく(平たく言うと明るく)、小さいと影響度が小さくなります。 ColorBlendかShadeBlendを小さくした場合、もう片方を大きくしないと全体として暗くなる。 0が一つでもあると真っ黒? float Ambient シェーディングテクスチャのモデルに対する描画範囲を0〜100の間で指定。 ※数値による描画範囲の変化は以下の画像を参照 画像クリックでフルサイズ表示 描画範囲は小さい数値ほど光源方向へ移動し、大きい数値ほど光源とは逆の方向に移動する模様。 また、画像右上のシェーディングテクスチャの右端、左端の色(幅1px)がモデルの光源方向に対する先端、終端へと強制的に引き延ばされる。 右端、左端の幅1px分を除くテクスチャの描画範囲(長さ)は、モデルの光源方向に対する先端、終端の距離の約80%に制限されている模様。 従ってシェーディングテクスチャ全体をモデルの先端から終端へと均等に描画させる事は不可能となっており、また描画範囲(長さ)は一定に保たれる為、値を小さい、または大きい数字に設定した際にモデルの先端、終端からはみ出した部分は描画されない仕様となっている。 不明 float LightDirX,Y,Z,W 詳細、効果不明。開発中に破棄された仕様と推測される。
https://w.atwiki.jp/3dcustom/pages/35.html
tbn, tso, psdに共通の内部ファイル名について。 フォーマット N○○○○○○○○X△△.拡張子 N:(1桁) あまり考えずに N からはじめるのが無難。変更時の不具合等についてはあまり検証されていない。 ○:(8桁) パーツID。デフォルトパーツは最後の部分を「 _ (アンダーバー)」にして識別しやすくしている。 X:(1桁) 部位ID。 パーツをどのカテゴリに表示するかの情報の1つ こことtbnファイルの内容で 表示されるカテゴリが決まる。ここだけ変更しても表示位置は変わらないので注意。 後述の「部位IDの詳細」を参照。 △:(2桁) 色ID。カラーバリエーション 00 のファイルが無いとそのMODが表示できない。 各IDには英数字と半角記号が使用可能。 ただし色の連動する髪の毛系のみBHEA、FHEA、HSKN、AHOG等の4文字部分は変更不可 解説 デフォルトのパーツは N001BODY_A00 等となっていて左から番号、名前…という風に読めるが、これは可読性をあげるためのコメントのようなもの。実際は N_BODYA01AZZ とかでも読み込んでくれるので、他のMODとのID被り(番号被り)はほぼ事前に防げる ただし先頭のNxxxの部分と最後の色IDは、可読性の観点およびゲーム内での挿入位置の分かりやすさの観点から、公式に倣って数字にしておくのが無難かと思われます パーツ名をアプリケーション内部で処理するアルゴリズムの関係で Nxxx の数字部分が 10 の倍数の場合、 他の 10 の倍数のMODと衝突してしまうといった現象が何例か確認されています。 数字部分は 10 の倍数を避けて設定するほうが無難なようです。 ゲーム内のでの並び方 パーツ→ 部 [ ][ ][ ][ ][ ][ ][ ] 位 [ ][ ][ ][ ][ ][ ][ ] ↓ [ ][ ][ ][ ][ ][ ][ ] [ ][ ][ ][ ][ ][ ][ ] [ ][ ][ ][ ][ ][ ][ ] [ ][ ][ ][ ][ ][ ][ ] 色→ [ ][ ][ ][ ][ ][ ][ ][ ] 部位IDの詳細 ID 部位名 16進数 備考 A 身体 00 04 03 B 前髪 01 09 08 C 後髪 02 0E 0D D 頭皮(生え際) 03 13 12 XPにて表示され個別選択可能になる E 瞳 04 18 17 F ブラ 05 1D 1C G 全身下着・水着 06 22 21 H パンツ 07 27 26 I 靴下 08 2C 2B J 上衣(シャツ等) 09 31 30 K 全身衣装(ナース服等) 0A 36 35 L 上着オプション(エプロン等) 0B 3B 3A M 下衣(スカート等) 0C 40 3F N 尻尾 0D 45 44 O(おー) 靴 0E 4A 49 P 頭部装備(帽子等) 0F 4F 4E Q 眼鏡 10 54 53 R 首輪 11 59 58 S 腕装備(手甲など) 12 5E 5D T 背中(羽など) 13 63 62 U アホ毛類 14 68 67 V 眼帯 15 6D 6C W タイツ・ガーター 16 72 71 X 手首 17 77 76 Y リボン 18 7C 7B 0(ぜろ) 眉毛 1A 86 85 1 八重歯 1B 8B 8A 2 ほくろ 1C 90 8F 3 イヤリング類 1D 95 94 Z 手持ちの小物 A0 05 01 XPにて新規追加 Z 背景 D1 01 C0 なのだが、手持ちアイテム(XPで追加されたZの項目)だけ、他のパーツとtbnの作りが違うらしく(サイズからして違う)、 他の部位のtbnから流用して、06F0h、073Ah、0756hの値を上表の16進数で書き換えて使っても上手くいかない なので、手持ちアイテムに追加する場合は、「omake_xp.tah」内の「N001OBON_Z00.tbn」をコピペして (勿論、tsoやpsdの部位ID、tbnデータ末尾でのtso指定は他部位と同じように変えること)使うとよい NXXXの数字について 現在既に使用されているNxxx番の数字と使用個数。100個未満のコードについては省略。 多くの作者はNXXXの数字を固定して使うので、使用数が多い物については偶然の一致や ハッシュ衝突を起こす可能性がある。 新規に自分のコードを割り当てたい場合ほとんど使われていない数字を選べば衝突の 可能性は低くなる。また英数字を組み合わせたコードは非常に数が少ない上に、 衝突の可能性は極めて低い。 厳密にフルtbn名・フルtso名が重複していないかを調査する場合には、 3DCG mods referenceのtso検索を使うと良い。 使用数 コード 使用数 コード 3348 N031 165 N051 3132 N850 164 N085 2391 N390 163 N306 2265 N851 163 N266 2260 N765 162 NMS1 1786 N001 162 N330 1276 N197 159 N699 1242 N230 159 N023 1154 N002 158 N310 1119 N003 158 N400 840 N231 157 N222 773 N004 157 N201 738 N079 154 N084 726 N005 152 N567 701 N395 152 N207 661 N077 151 N837 591 N394 149 N022 572 N006 147 N856 530 N301 147 N333 527 N740 147 N225 468 N703 145 N359 457 N198 145 N251 446 N021 145 N027 433 N300 143 N265 419 N011 142 N329 406 N101 142 N063 377 N125 141 N331 373 N256 140 N065 369 N080 140 N064 364 N658 139 N834 363 N700 139 N066 362 N078 136 NWEP 349 N766 136 NCB3 342 N417 136 N601 339 N007 136 N024 321 N010 134 N668 319 N016 133 N308 308 N268 133 N262 306 N836 132 N838 304 N248 132 N420 297 N012 131 N054 296 N032 130 N350 279 N143 128 N328 276 N202 128 N318 274 N009 127 N204 271 N008 124 N697 261 N302 123 N523 260 N447 123 N219 255 N057 123 N214 249 N303 122 NX55 242 NCB2 121 NFUR 241 N115 121 N702 238 N304 119 N999 237 N332 119 N835 233 N060 119 N546 232 N014 119 N127 226 N203 118 N218 223 N305 117 N510 220 N831 116 N855 215 N013 116 N456 213 N210 116 N221 212 N205 116 N150 207 N151 114 N307 205 NM00 114 N250 200 N209 113 N852 198 NCB1 113 N841 197 N522 113 N028 194 N741 111 N241 189 N015 111 N068 189 N000 111 N067 188 N03C 110 N055 187 N444 109 N953 187 N029 109 N952 185 N220 108 N750 184 NY59 108 N701 184 N081 106 N751 183 N949 105 N352 183 N351 105 N052 181 N206 104 N833 181 N030 104 N830 180 NMS9 104 N323 180 N020 103 N950 178 N061 103 N821 177 N069 103 N050 176 NSIM 103 N025 175 N379 101 N363 175 N017 101 N315 169 N208 101 N311 168 N734 100 N733 167 N520 100 N212 167 N460 上記の調査は2009/10/31に実施した。